博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
【Verilog_10】: 设计24位无符号数乘法器
阅读量:3943 次
发布时间:2019-05-24

本文共 409 字,大约阅读时间需要 1 分钟。

设计24位无符号数乘法器

法一

author : Mr.Maoe-mail : 2458682080@qq.commodule muln#( parameter N=24)(	input [N-1:0] A,	input [N-1:0] B,	output [2*N-1:0] R);assign R = A * B;endmodule

法二

author : Mr.Maoe-mail : 2458682080@qq.com//四位乘法器module MULT4B(A, B, R) ;   //使用移位相乘法实现parameter   S = 4 ;input       [S:1]  A, B ;   //乘数与被乘数为4位二进制output  reg [S*2:1]  R ;    //得数为4+4=8 位 integer i ;always@(*)begin    R = 0 ;	 for(i=1; i

转载地址:http://iyiwi.baihongyu.com/

你可能感兴趣的文章
华为snmp模板
查看>>
kvm&xen挂载镜像文件
查看>>
华为路由器配置NAT使内网用户通过外网IP地址方式访问内网服务器示例
查看>>
virt命令
查看>>
15个保障服务器安全的方法:
查看>>
在VMware Workstation 中部署VCSA6.5
查看>>
openstack&ceph
查看>>
ME60 双机热备 奇偶mac负载分担
查看>>
oracle11G安装en
查看>>
关于丢失或者损坏etc/fstab文件后
查看>>
VMware-ESXi-6.5 集成第三方驱动方法
查看>>
Oracle RAC on vSphere 安装手册v2
查看>>
V2V迁移
查看>>
BFD
查看>>
docker网络
查看>>
锐捷交换机的多对多镜像口
查看>>
Linux系统修改编码
查看>>
word文档不能显示图片的处理
查看>>
linux的多桌面环境Xephyr
查看>>
初探debian桌面的管理启动
查看>>